On the conversion of YUV to RGB simulation Verilog source code, documentation and modelsin, believe that we have a lot of help, I had a long time to find!
File list:
YUV2RGB
......\doc
......\...\RIC-V01(彩色空间变换YCbCr2RGB).pdf
......\sim
......\...\work
......\...\....\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
......\...\....\..........................................\verilog.asm
......\...\....\..........................................\_primary.dat
......\...\....\..........................................\_primary.vhd
......\...\....\@m@f_pll_reg
......\...\....\............\verilog.asm
......\...\....\............\_primary.dat
......\...\....\............\_primary.vhd
......\...\....\@m@f_ram7x20_syn
......\...\....\................\verilog.asm
......\...\....\................\_primary.dat
......\...\....\................\_primary.vhd
......\...\....\@m@f_stratixii_pll
......\...\....\..................\verilog.asm
......\...\....\..................\_primary.dat
......\...\....\..................\_primary.vhd
......\...\....\@m@f_stratix_pll
......\...\....\................\verilog.asm
......\...\....\................\_primary.dat
......\...\....\................\_primary.vhd
......\...\....\alt3pram
......\...\....\........\verilog.asm
......\...\....\........\_primary.dat
......\...\....\........\_primary.vhd
......\...\....\altaccumulate
......\...\....\.............\verilog.asm
......\...\....\.............\_primary.dat
......\...\....\.............\_primary.vhd
......\...\....\altcam
......\...\....\......\verilog.asm
......\...\....\......\_primary.dat
......\...\....\......\_primary.vhd
......\...\....\altcdr_rx
......\...\....\.........\verilog.asm
......\...\....\.........\_primary.dat
......\...\....\.........\_primary.vhd
......\...\....\altcdr_tx
......\...\....\.........\verilog.asm
......\...\....\.........\_primary.dat
......\...\....\.........\_primary.vhd
......\...\....\altclklock
......\...\....\..........\verilog.asm
......\...\....\..........\_primary.dat
......\...\....\..........\_primary.vhd
......\...\....\altddio_bidir
......\...\....\.............\verilog.asm
......\...\....\.............\_primary.dat
......\...\....\.............\_primary.vhd
......\...\....\altddio_in
......\...\....\..........\verilog.asm
......\...\....\..........\_primary.dat
......\...\....\..........\_primary.vhd
......\...\....\altddio_out
......\...\....\...........\verilog.asm
......\...\....\...........\_primary.dat
......\...\....\...........\_primary.vhd
......\...\....\altdpram
......\...\....\........\verilog.asm
......\...\....\........\_primary.dat
......\...\....\........\_primary.vhd
......\...\....\altfp_mult
......\...\....\..........\verilog.asm
......\...\....\..........\_primary.dat
......\...\....\..........\_primary.vhd
......\...\....\altlvds_rx
......\...\....\..........\verilog.asm
......\...\....\..........\_primary.dat
......\...\....\..........\_primary.vhd
......\...\....\altlvds_tx
......\...\....\..........\verilog.asm
......\...\....\..........\_primary.dat
......\...\....\..........\_primary.vhd
......\...\....\altmult_accum
......\...\....\.............\verilog.asm
......\...\....\.............\_primary.dat
......\...\....\.............\_primary.vhd
......\...\....\altmult_add
......\...\....\...........\verilog.asm
......\...\....\...........\_primary.dat
......\...\....\...........\_primary.vhd
......\...\....\altpll
......\...\....\......\verilog.asm
......\...\....\......\_primary.dat
......\...\....\......\_primary.vhd
......\...\....\altqpram
......\...\....\........\verilog.asm
......\...\....\........\_primary.dat
......\...\....\........\_primary.vhd
......\...\....\altshift_taps
......\...\....\.............\verilog.asm
......\...\....\.............\_primary.dat
......\...\....\.............\_primary.vhd
......\...\....\altsqrt
......\...\....\.......\verilog.asm
......\...\....\.......\_primary.dat
......\...\....\.......\_primary.vhd
......\...\....\altsyncram
......\...\....\..........\verilog.asm
......\...\....\..........\_primary.dat
......\...\....\..........\_primary.vhd
......\...\....\alt_exc_dpram
......\...\....\.............\verilog.asm
......\...\....\.............\_primary.dat
......\...\....\.............\_primary.vhd
......\...\....\alt_exc_upcore
......\...\....\..............\verilog.asm
......\...\....\..............\_primary.dat
......\...\....\..............\_primary.vhd
......\...\....\arm_m_cntr
......\...\....\..........\verilog.asm
......\...\....\..........\_primary.dat
......\...\....\..........\_primary.vhd
......\...\....\arm_n_cntr
......\...\....\..........\verilog.asm
......\...\....\..........\_primary.dat
......\...\....\..........\_primary.vhd
......\...\....\arm_scale_cntr
......\...\....\..............\verilog.asm
......\...\....\..............\_primary.dat
......\...\....\..............\_primary.vhd
......\...\....\a_graycounter
......\...\....\.............\verilog.asm
......\...\....\.............\_primary.dat
......\...\....\.............\_primary.vhd
......\...\....\carry
......\...\....\.....\verilog.asm
......\...\....\.....\_primary.dat
......\...\....\.....\_primary.vhd
......\...\....\carry_sum
......\...\....\.........\verilog.asm
......\...\....\.........\_primary.dat
......\...\....\.........\_primary.vhd
......\...\....\cascade
......\...\....\.......\verilog.asm
......\...\....\.......\_primary.dat
......\...\....\.......\_primary.vhd
......\...\....\dcfifo
......\...\....\......\verilog.asm
......\...\....\......\_primary.dat
......\...\....\......\_primary.vhd
......\...\....\dcfifo_async
......\...\....\............\verilog.asm
......\...\....\............\_primary.dat
......\...\....\............\_primary.vhd
......\...\....\dcfifo_dffpipe
......\...\....\..............\verilog.asm
......\...\....\..............\_primary.dat
......\...\....\..............\_primary.vhd
......\...\....\dcfifo_fefifo
......\...\....\.............\verilog.asm
......\...\....\.............\_primary.dat
......\...\....\.............\_primary.vhd
......\...\....\dcfifo_sync
......\...\....\...........\verilog.asm
......\...\....\...........\_primary.dat
......\...\....\...........\_primary.vhd
......\...\....\dffp
......\...\....\....\verilog.asm
......\...\....\....\_primary.dat
......\...\....\....\_primary.vhd
......\...\....\exp
......\...\....\...\verilog.asm
......\...\....\...\_primary.dat
......\...\....\...\_primary.vhd
......\...\....\global
......\...\....\......\verilog.asm
......\...\....\......\_primary.dat
......\...\....\......\_primary.vhd
......\...\....\hssi_fifo
......\...\....\.........\verilog.asm
......\...\....\.........\_primary.dat
......\...\....\.........\_primary.vhd
......\...\....\hssi_pll
......\...\....\........\verilog.asm
......\...\....\........\_primary.dat
......\...\....\........\_primary.vhd
......\...\....\hssi_rx
......\...\....\.......\verilog.asm
......\...\....\.......\_primary.dat
......\...\....\.......\_primary.vhd
......\...\....\hssi_tx
......\...\....\.......\verilog.asm
......\...\....\.......\_primary.dat
......\...\....\.......\_primary.vhd
......\...\....\lcell
......\...\....\.....\verilog.asm
......\...\....\.....\_primary.dat
......\...\....\.....\_primary.vhd
......\...\....\parallel_add
......\...\....\............\verilog.asm
......\...\....\............\_primary.dat
......\...\....\............\_primary.vhd
......\...\....\rom0p392_@cb
......\...\....\............\verilog.asm
......\...\....\............\_primary.dat
......\...\....\............\_primary.vhd
......\...\....\rom0p813_@cr
......\...\....\............\verilog.asm
......\...\....\............\_primary.dat
......\...\....\............\_primary.vhd
......\...\....\rom1p164_@y
......\...\....\...........\verilog.asm
......\...\....\...........\_primary.dat
......\...\....\...........\_primary.vhd
......\...\....\rom1p596_@cr
......\...\....\............\verilog.asm
......\...\....\............\_primary.dat
......\...\....\............\_primary.vhd
......\...\....\rom2p017_@cb
......\...\....\............\verilog.asm
......\...\....\............\_primary.dat
......\...\....\............\_primary.vhd
......\...\....\scfifo
......\...\....\......\verilog.asm
......\...\....\......\_primary.dat
......\...\....\......\_primary.vhd
......\...\....\stratixgx_dpa_lvds_rx
......\...\....\.....................\verilog.asm
......\...\....\.....................\_primary.dat
......\...\....\.....................\_primary.vhd
......\...\....\stratixii_lvds_rx
......\...\....\.................\verilog.asm
......\...\....\.................\_primary.dat
......\...\....\.................\_primary.vhd
......\...\....\stratixii_tx_outclk
......\...\....\...................\verilog.asm
......\...\....\...................\_primary.dat
......\...\....\...................\_primary.vhd
......\...\....\stratix_lvds_rx
......\...\....\...............\verilog.asm
......\...\....\...............\_primary.dat
......\...\....\...............\_primary.vhd
......\...\....\stx_m_cntr
......\...\....\..........\verilog.asm
......\...\....\..........\_primary.dat
......\...\....\..........\_primary.vhd
......\...\....\stx_n_cntr
......\...\....\..........\verilog.asm
......\...\....\..........\_primary.dat
......\...\....\..........\_primary.vhd
......\...\....\stx_scale_cntr
......\...\....\..............\verilog.asm
......\...\....\..............\_primary.dat
......\...\....\..............\_primary.vhd
......\...\....\yuv2rgb
......\...\....\.......\verilog.asm
......\...\....\.......\_primary.dat
......\...\....\.......\_primary.vhd
......\...\....\yuv2rgb_tb
......\...\....\..........\verilog.asm
......\...\....\..........\_primary.dat
......\...\....\..........\_primary.vhd
......\...\....\_info
......\...\altera_mf.v
......\...\transcript
......\...\vsim.wlf
......\...\yuv2rgb_pre_sim.wlf
......\...\yuv2rgb_tb.v
......\...\YUV2RGB_test.cr.mti
......\...\YUV2RGB_test.mpf
......\src
......\...\rom0p392_Cb.v
......\...\rom0p813_Cr.v
......\...\rom1p164_Y.v
......\...\rom1p596_Cr.v
......\...\rom2p017_Cb.v
......\...\yuv2rgb.v