
File list:
altera_pll
.........\modelsim
.........\........\altera_mf
.........\........\.........\alt3pram
.........\........\.........\........\behavior.dat
.........\........\.........\........\behavior.dbs
.........\........\.........\........\_primary.dat
.........\........\.........\........\_primary.dbs
.........\........\.........\altaccumulate
.........\........\.........\.............\behaviour.dat
.........\........\.........\.............\behaviour.dbs
.........\........\.........\.............\_primary.dat
.........\........\.........\.............\_primary.dbs
.........\........\.........\altcam
.........\........\.........\......\behave.dat
.........\........\.........\......\behave.dbs
.........\........\.........\......\_primary.dat
.........\........\.........\......\_primary.dbs
.........\........\.........\altclklock
.........\........\.........\..........\behavior.dat
.........\........\.........\..........\behavior.dbs
.........\........\.........\..........\_primary.dat
.........\........\.........\..........\_primary.dbs
.........\........\.........\altddio_bidir
.........\........\.........\.............\struct.dat
.........\........\.........\.............\struct.dbs
.........\........\.........\.............\_primary.dat
.........\........\.........\.............\_primary.dbs
.........\........\.........\altddio_in
.........\........\.........\..........\behave.dat
.........\........\.........\..........\behave.dbs
.........\........\.........\..........\_primary.dat
.........\........\.........\..........\_primary.dbs
.........\........\.........\altddio_out
.........\........\.........\...........\behave.dat
.........\........\.........\...........\behave.dbs
.........\........\.........\...........\_primary.dat
.........\........\.........\...........\_primary.dbs
.........\........\.........\altdpram
.........\........\.........\........\behavior.dat
.........\........\.........\........\behavior.dbs
.........\........\.........\........\_primary.dat
.........\........\.........\........\_primary.dbs
.........\........\.........\altera_common_conversion
.........\........\.........\........................\body.dat
.........\........\.........\........................\body.dbs
.........\........\.........\........................\_primary.dat
.........\........\.........\........................\_primary.dbs
.........\........\.........\altera_device_families
.........\........\.........\......................\body.dat
.........\........\.........\......................\body.dbs
.........\........\.........\......................\_primary.dat
.........\........\.........\......................\_primary.dbs
.........\........\.........\altera_mf_components
.........\........\.........\....................\_primary.dat
.........\........\.........\....................\_primary.dbs
.........\........\.........\altera_mf_hint_evaluation
.........\........\.........\.........................\body.dat
.........\........\.........\.........................\body.dbs
.........\........\.........\.........................\_primary.dat
.........\........\.........\.........................\_primary.dbs
.........\........\.........\altfp_mult
.........\........\.........\..........\behavior.dat
.........\........\.........\..........\behavior.dbs
.........\........\.........\..........\_primary.dat
.........\........\.........\..........\_primary.dbs
.........\........\.........\altlvds_rx
.........\........\.........\..........\behavior.dat
.........\........\.........\..........\behavior.dbs
.........\........\.........\..........\_primary.dat
.........\........\.........\..........\_primary.dbs
.........\........\.........\altlvds_tx
.........\........\.........\..........\behavior.dat
.........\........\.........\..........\behavior.dbs
.........\........\.........\..........\_primary.dat
.........\........\.........\..........\_primary.dbs
.........\........\.........\altmult_accum
.........\........\.........\.............\behaviour.dat
.........\........\.........\.............\behaviour.dbs
.........\........\.........\.............\_primary.dat
.........\........\.........\.............\_primary.dbs
.........\........\.........\altmult_add
.........\........\.........\...........\behaviour.dat
.........\........\.........\...........\behaviour.dbs
.........\........\.........\...........\_primary.dat
.........\........\.........\...........\_primary.dbs
.........\........\.........\altparallel_flash_loader
.........\........\.........\........................\sim_altparallel_flash_loader.dat
.........\........\.........\........................\sim_altparallel_flash_loader.dbs
.........\........\.........\........................\_primary.dat
.........\........\.........\........................\_primary.dbs
.........\........\.........\altpll
.........\........\.........\......\behavior.dat
.........\........\.........\......\behavior.dbs
.........\........\.........\......\_primary.dat
.........\........\.........\......\_primary.dbs
.........\........\.........\altqpram
.........\........\.........\........\behavior.dat
.........\........\.........\........\behavior.dbs
.........\........\.........\........\_primary.dat
.........\........\.........\........\_primary.dbs
.........\........\.........\altserial_flash_loader
.........\........\.........\......................\sim_altserial_flash_loader.dat
.........\........\.........\......................\sim_altserial_flash_loader.dbs
.........\........\.........\......................\_primary.dat
.........\........\.........\......................\_primary.dbs
.........\........\.........\altshift_taps
.........\........\.........\.............\behavioural.dat
.........\........\.........\.............\behavioural.dbs
.........\........\.........\.............\_primary.dat
.........\........\.........\.............\_primary.dbs
.........\........\.........\altsource_probe
.........\........\.........\...............\sim_altsource_probe.dat
.........\........\.........\...............\sim_altsource_probe.dbs
.........\........\.........\...............\_primary.dat
.........\........\.........\...............\_primary.dbs
.........\........\.........\altsqrt
.........\........\.........\.......\behavior.dat
.........\........\.........\.......\behavior.dbs
.........\........\.........\.......\_primary.dat
.........\........\.........\.......\_primary.dbs
.........\........\.........\altsquare
.........\........\.........\.........\altsquare_syn.dat
.........\........\.........\.........\altsquare_syn.dbs
.........\........\.........\.........\_primary.dat
.........\........\.........\.........\_primary.dbs
.........\........\.........\altstratixii_oct
.........\........\.........\................\sim_altstratixii_oct.dat
.........\........\.........\................\sim_altstratixii_oct.dbs
.........\........\.........\................\_primary.dat
.........\........\.........\................\_primary.dbs
.........\........\.........\altsyncram
.........\........\.........\..........\translated.dat
.........\........\.........\..........\translated.dbs
.........\........\.........\..........\_primary.dat
.........\........\.........\..........\_primary.dbs
.........\........\.........\arm_m_cntr
.........\........\.........\..........\behave.dat
.........\........\.........\..........\behave.dbs
.........\........\.........\..........\_primary.dat
.........\........\.........\..........\_primary.dbs
.........\........\.........\arm_n_cntr
.........\........\.........\..........\behave.dat
.........\........\.........\..........\behave.dbs
.........\........\.........\..........\_primary.dat
.........\........\.........\..........\_primary.dbs
.........\........\.........\arm_scale_cntr
.........\........\.........\..............\behave.dat
.........\........\.........\..............\behave.dbs
.........\........\.........\..............\_primary.dat
.........\........\.........\..............\_primary.dbs
.........\........\.........\a_graycounter
.........\........\.........\.............\behavior.dat
.........\........\.........\.............\behavior.dbs
.........\........\.........\.............\_primary.dat
.........\........\.........\.............\_primary.dbs
.........\........\.........\dcfifo
.........\........\.........\......\behavior.dat
.........\........\.........\......\behavior.dbs
.........\........\.........\......\_primary.dat
.........\........\.........\......\_primary.dbs
.........\........\.........\dcfifo_async
.........\........\.........\............\behavior.dat
.........\........\.........\............\behavior.dbs
.........\........\.........\............\_primary.dat
.........\........\.........\............\_primary.dbs
.........\........\.........\dcfifo_dffpipe
.........\........\.........\..............\behavior.dat
.........\........\.........\..............\behavior.dbs
.........\........\.........\..............\_primary.dat
.........\........\.........\..............\_primary.dbs
.........\........\.........\dcfifo_fefifo
.........\........\.........\.............\behavior.dat
.........\........\.........\.............\behavior.dbs
.........\........\.........\.............\_primary.dat
.........\........\.........\.............\_primary.dbs
.........\........\.........\dcfifo_low_latency
.........\........\.........\..................\behavior.dat
.........\........\.........\..................\behavior.dbs
.........\........\.........\..................\_primary.dat
.........\........\.........\..................\_primary.dbs
.........\........\.........\dcfifo_mixed_widths
.........\........\.........\...................\behavior.dat
.........\........\.........\...................\behavior.dbs
.........\........\.........\...................\_primary.dat
.........\........\.........\...................\_primary.dbs
.........\........\.........\dcfifo_pack
.........\........\.........\...........\body.dat
.........\........\.........\...........\body.dbs
.........\........\.........\...........\_primary.dat
.........\........\.........\...........\_primary.dbs
.........\........\.........\dcfifo_sync
.........\........\.........\...........\behavior.dat
.........\........\.........\...........\behavior.dbs
.........\........\.........\...........\_primary.dat
.........\........\.........\...........\_primary.dbs
.........\........\.........\dffp
.........\........\.........\....\behave.dat
.........\........\.........\....\behave.dbs
.........\........\.........\....\_primary.dat
.........\........\.........\....\_primary.dbs
.........\........\.........\dummy_hub
.........\........\.........\.........\behavior.dat
.........\........\.........\.........\behavior.dbs
.........\........\.........\.........\_primary.dat
.........\........\.........\.........\_primary.dbs
.........\........\.........\flexible_lvds_rx
.........\........\.........\................\behavior.dat
.........\........\.........\................\behavior.dbs
.........\........\.........\................\_primary.dat
.........\........\.........\................\_primary.dbs
.........\........\.........\flexible_lvds_tx
.........\........\.........\................\behavior.dat
.........\........\.........\................\behavior.dbs
.........\........\.........\................\_primary.dat
.........\........\.........\................\_primary.dbs
.........\........\.........\jtag_tap_controller
.........\........\.........\...................\fsm.dat
.........\........\.........\...................\fsm.dbs
.........\........\.........\...................\_primary.dat
.........\........\.........\...................\_primary.dbs
.........\........\.........\lcell
.........\........\.........\.....\behavior.dat
.........\........\.........\.....\behavior.dbs
.........\........\.........\.....\_primary.dat
.........\........\.........\.....\_primary.dbs
.........\........\.........\mf_cda_mn_cntr
.........\........\.........\..............\behave.dat
.........\........\.........\..............\behave.dbs
.........\........\.........\..............\_primary.dat
.........\........\.........\..............\_primary.dbs
.........\........\.........\mf_cda_scale_cntr
.........\........\.........\.................\behave.dat
.........\........\.........\.................\behave.dbs
.........\........\.........\.................\_primary.dat
.........\........\.........\.................\_primary.dbs
.........\........\.........\mf_cycloneiii_pll
.........\........\.........\.................\vital_pll.dat
.........\........\.........\.................\vital_pll.dbs
.........\........\.........\.................\_primary.dat
.........\........\.........\.................\_primary.dbs
.........\........\.........\mf_m_cntr
.........\........\.........\.........\behave.dat
.........\........\.........\.........\behave.dbs
.........\........\.........\.........\_primary.dat
.........\........\.........\.........\_primary.dbs
.........\........\.........\mf_n_cntr
.........\........\.........\.........\behave.dat
.........\........\.........\.........\behave.dbs
.........\........\.........\.........\_primary.dat
.........\........\.........\.........\_primary.dbs
.........\........\.........\mf_pllpack
.........\........\.........\..........\body.dat
.........\........\.........\..........\body.dbs
.........\........\.........\..........\_primary.dat
.........\........\.........\..........\_primary.dbs
.........\........\.........\mf_pll_reg
.........\........\.........\..........\behave.dat
.........\........\.........\..........\behave.dbs
.........\........\.........\..........\_primary.dat
.........\........\.........\..........\_primary.dbs
.........\........\.........\mf_stratixiii_pll
.........\........\.........\.................\vital_pll.dat
.........\........\.........\.................\vital_pll.dbs
.........\........\.........\.................\_primary.dat
.........\........\.........\.................\_primary.dbs
.........\........\.........\mf_stratixii_pll
.........\........\.........\................\vital_pll.dat
.........\........\.........\................\vital_pll.dbs
.........\........\.........\................\_primary.dat
.........\........\.........\................\_primary.dbs
.........\........\.........\mf_stratix_pll
.........\........\.........\..............\vital_pll.dat
.........\........\.........\..............\vital_pll.dbs
.........\........\.........\..............\_primary.dat
.........\........\.........\..............\_primary.dbs
.........\........\.........\mf_ttn_mn_cntr
.........\........\.........\..............\behave.dat
.........\........\.........\..............\behave.dbs
.........\........\.........\..............\_primary.dat
.........\........\.........\..............\_primary.dbs
.........\........\.........\mf_ttn_scale_cntr
.........\........\.........\.................\behave.dat
.........\........\.........\.................\behave.dbs
.........\........\.........\.................\_primary.dat
.........\........\.........\.................\_primary.dbs
.........\........\.........\parallel_add
.........\........\.........\............\behaviour.dat
.........\........\.........\............\behaviour.dbs
.........\........\.........\............\_primary.dat
.........\........\.........\............\_primary.dbs
.........\........\.........\pll
.........\........\.........\...\syn.dat
.........\........\.........\...\syn.dbs
.........\........\.........\...\_primary.dat
.........\........\.........\...\_primary.dbs
.........\........\.........\pll_iobuf
.........\........\.........\.........\behavior.dat
.........\........\.........\.........\behavior.dbs
.........\........\.........\.........\_primary.dat
.........\........\.........\.........\_primary.dbs
.........\........\.........\scfifo
.........\........\.........\......\behavior.dat
.........\........\.........\......\behavior.dbs
.........\........\.........\......\_primary.dat
.........\........\.........\......\_primary.dbs
.........\........\.........\signal_gen
.........\........\.........\..........\simmodel.dat
.........\........\.........\..........\simmodel.dbs
.........\........\.........\..........\_primary.dat
.........\........\.........\..........\_primary.dbs
.........\........\.........\sld_node
.........\........\.........\........\body.dat
.........\........\.........\........\body.dbs
.........\........\.........\........\_primary.dat
.........\........\.........\........\_primary.dbs
.........\........\.........\sld_signaltap
.........\........\.........\.............\sim_sld_signaltap.dat
.........\........\.........\.............\sim_sld_signaltap.dbs
.........\........\.........\.............\_primary.dat
.........\........\.........\.............\_primary.dbs
.........\........\.........\sld_virtual_jtag
.........\........\.........\................\structural.dat
.........\........\.........\................\structural.dbs
.........\........\.........\................\_primary.dat
.........\........\.........\................\_primary.dbs
.........\........\.........\stratixiii_lvds_rx
.........\........\.........\..................\behavior.dat
.........\........\.........\..................\behavior.dbs
.........\........\.........\..................\_primary.dat
.........\........\.........\..................\_primary.dbs
.........\........\.........\stratixiii_lvds_rx_channel
.........\........\.........\..........................\behavior.dat
.........\........\.........\..........................\behavior.dbs
.........\........\.........\..........................\_primary.dat
.........\........\.........\..........................\_primary.dbs
.........\........\.........\stratixiii_lvds_rx_dpa
.........\........\.........\......................\behavior.dat
.........\........\.........\......................\behavior.dbs
.........\........\.........\......................\_primary.dat
.........\........\.........\......................\_primary.dbs
.........\........\.........\stratixii_lvds_rx
.........\........\.........\.................\behavior.dat
.........\........\.........\.................\behavior.dbs
.........\........\.........\.................\_primary.dat
.........\........\.........\.................\_primary.dbs
.........\........\.........\stratixii_tx_outclk
.........\........\.........\...................\behavior.dat
.........\........\.........\...................\behavior.dbs
.........\........\.........\...................\_primary.dat
.........\........\.........\...................\_primary.dbs
.........\........\.........\stratix_tx_outclk
.........\........\.........\.................\behavior.dat
.........\........\.........\.................\behavior.dbs
.........\........\.........\.................\_primary.dat
.........\........\.........\.................\_primary.dbs
.........\........\.........\stx_scale_cntr
.........\........\.........\..............\behave.dat
.........\........\.........\..............\behave.dbs
.........\........\.........\..............\_primary.dat
.........\........\.........\..............\_primary.dbs
.........\........\.........\_temp
.........\........\.........\_info
.........\........\work
.........\........\....\alt3pram
.........\........\....\........\behavior.dat
.........\........\....\........\behavior.dbs
.........\........\....\........\_primary.dat
.........\........\....\........\_primary.dbs
.........\........\....\altaccumulate
.........\........\....\.............\behaviour.dat
.........\........\....\.............\behaviour.dbs
.........\........\....\.............\_primary.dat
.........\........\....\.............\_primary.dbs
.........\........\....\altcam
.........\........\....\......\behave.dat
.........\........\....\......\behave.dbs
.........\........\....\......\_primary.dat
.........\........\....\......\_primary.dbs
.........\........\....\altclklock
.........\........\....\..........\behavior.dat
.........\........\....\..........\behavior.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\altddio_bidir
.........\........\....\.............\struct.dat
.........\........\....\.............\struct.dbs
.........\........\....\.............\_primary.dat
.........\........\....\.............\_primary.dbs
.........\........\....\altddio_in
.........\........\....\..........\behave.dat
.........\........\....\..........\behave.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\altddio_out
.........\........\....\...........\behave.dat
.........\........\....\...........\behave.dbs
.........\........\....\...........\_primary.dat
.........\........\....\...........\_primary.dbs
.........\........\....\altdpram
.........\........\....\........\behavior.dat
.........\........\....\........\behavior.dbs
.........\........\....\........\_primary.dat
.........\........\....\........\_primary.dbs
.........\........\....\altera_common_conversion
.........\........\....\........................\body.dat
.........\........\....\........................\body.dbs
.........\........\....\........................\_primary.dat
.........\........\....\........................\_primary.dbs
.........\........\....\altera_device_families
.........\........\....\......................\body.dat
.........\........\....\......................\body.dbs
.........\........\....\......................\_primary.dat
.........\........\....\......................\_primary.dbs
.........\........\....\altera_mf_components
.........\........\....\....................\_primary.dat
.........\........\....\....................\_primary.dbs
.........\........\....\altera_mf_hint_evaluation
.........\........\....\.........................\body.dat
.........\........\....\.........................\body.dbs
.........\........\....\.........................\_primary.dat
.........\........\....\.........................\_primary.dbs
.........\........\....\altfp_mult
.........\........\....\..........\behavior.dat
.........\........\....\..........\behavior.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\altlvds_rx
.........\........\....\..........\behavior.dat
.........\........\....\..........\behavior.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\altlvds_tx
.........\........\....\..........\behavior.dat
.........\........\....\..........\behavior.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\altmult_accum
.........\........\....\.............\behaviour.dat
.........\........\....\.............\behaviour.dbs
.........\........\....\.............\_primary.dat
.........\........\....\.............\_primary.dbs
.........\........\....\altmult_add
.........\........\....\...........\behaviour.dat
.........\........\....\...........\behaviour.dbs
.........\........\....\...........\_primary.dat
.........\........\....\...........\_primary.dbs
.........\........\....\altparallel_flash_loader
.........\........\....\........................\sim_altparallel_flash_loader.dat
.........\........\....\........................\sim_altparallel_flash_loader.dbs
.........\........\....\........................\_primary.dat
.........\........\....\........................\_primary.dbs
.........\........\....\altpll
.........\........\....\......\behavior.dat
.........\........\....\......\behavior.dbs
.........\........\....\......\_primary.dat
.........\........\....\......\_primary.dbs
.........\........\....\altqpram
.........\........\....\........\behavior.dat
.........\........\....\........\behavior.dbs
.........\........\....\........\_primary.dat
.........\........\....\........\_primary.dbs
.........\........\....\altserial_flash_loader
.........\........\....\......................\sim_altserial_flash_loader.dat
.........\........\....\......................\sim_altserial_flash_loader.dbs
.........\........\....\......................\_primary.dat
.........\........\....\......................\_primary.dbs
.........\........\....\altshift_taps
.........\........\....\.............\behavioural.dat
.........\........\....\.............\behavioural.dbs
.........\........\....\.............\_primary.dat
.........\........\....\.............\_primary.dbs
.........\........\....\altsource_probe
.........\........\....\...............\sim_altsource_probe.dat
.........\........\....\...............\sim_altsource_probe.dbs
.........\........\....\...............\_primary.dat
.........\........\....\...............\_primary.dbs
.........\........\....\altsqrt
.........\........\....\.......\behavior.dat
.........\........\....\.......\behavior.dbs
.........\........\....\.......\_primary.dat
.........\........\....\.......\_primary.dbs
.........\........\....\altsquare
.........\........\....\.........\altsquare_syn.dat
.........\........\....\.........\altsquare_syn.dbs
.........\........\....\.........\_primary.dat
.........\........\....\.........\_primary.dbs
.........\........\....\altstratixii_oct
.........\........\....\................\sim_altstratixii_oct.dat
.........\........\....\................\sim_altstratixii_oct.dbs
.........\........\....\................\_primary.dat
.........\........\....\................\_primary.dbs
.........\........\....\altsyncram
.........\........\....\..........\translated.dat
.........\........\....\..........\translated.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\arm_m_cntr
.........\........\....\..........\behave.dat
.........\........\....\..........\behave.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\arm_n_cntr
.........\........\....\..........\behave.dat
.........\........\....\..........\behave.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\arm_scale_cntr
.........\........\....\..............\behave.dat
.........\........\....\..............\behave.dbs
.........\........\....\..............\_primary.dat
.........\........\....\..............\_primary.dbs
.........\........\....\a_graycounter
.........\........\....\.............\behavior.dat
.........\........\....\.............\behavior.dbs
.........\........\....\.............\_primary.dat
.........\........\....\.............\_primary.dbs
.........\........\....\carry
.........\........\....\.....\behavior.dat
.........\........\....\.....\behavior.dbs
.........\........\....\.....\_primary.dat
.........\........\....\.....\_primary.dbs
.........\........\....\carry_sum
.........\........\....\.........\behavior.dat
.........\........\....\.........\behavior.dbs
.........\........\....\.........\_primary.dat
.........\........\....\.........\_primary.dbs
.........\........\....\cascade
.........\........\....\.......\behavior.dat
.........\........\....\.......\behavior.dbs
.........\........\....\.......\_primary.dat
.........\........\....\.......\_primary.dbs
.........\........\....\dcfifo
.........\........\....\......\behavior.dat
.........\........\....\......\behavior.dbs
.........\........\....\......\_primary.dat
.........\........\....\......\_primary.dbs
.........\........\....\dcfifo_async
.........\........\....\............\behavior.dat
.........\........\....\............\behavior.dbs
.........\........\....\............\_primary.dat
.........\........\....\............\_primary.dbs
.........\........\....\dcfifo_dffpipe
.........\........\....\..............\behavior.dat
.........\........\....\..............\behavior.dbs
.........\........\....\..............\_primary.dat
.........\........\....\..............\_primary.dbs
.........\........\....\dcfifo_fefifo
.........\........\....\.............\behavior.dat
.........\........\....\.............\behavior.dbs
.........\........\....\.............\_primary.dat
.........\........\....\.............\_primary.dbs
.........\........\....\dcfifo_low_latency
.........\........\....\..................\behavior.dat
.........\........\....\..................\behavior.dbs
.........\........\....\..................\_primary.dat
.........\........\....\..................\_primary.dbs
.........\........\....\dcfifo_mixed_widths
.........\........\....\...................\behavior.dat
.........\........\....\...................\behavior.dbs
.........\........\....\...................\_primary.dat
.........\........\....\...................\_primary.dbs
.........\........\....\dcfifo_pack
.........\........\....\...........\body.dat
.........\........\....\...........\body.dbs
.........\........\....\...........\_primary.dat
.........\........\....\...........\_primary.dbs
.........\........\....\dcfifo_sync
.........\........\....\...........\behavior.dat
.........\........\....\...........\behavior.dbs
.........\........\....\...........\_primary.dat
.........\........\....\...........\_primary.dbs
.........\........\....\dff
.........\........\....\...\behavior.dat
.........\........\....\...\behavior.dbs
.........\........\....\...\_primary.dat
.........\........\....\...\_primary.dbs
.........\........\....\dffe
.........\........\....\....\behavior.dat
.........\........\....\....\behavior.dbs
.........\........\....\....\_primary.dat
.........\........\....\....\_primary.dbs
.........\........\....\dffea
.........\........\....\.....\behavior.dat
.........\........\....\.....\behavior.dbs
.........\........\....\.....\_primary.dat
.........\........\....\.....\_primary.dbs
.........\........\....\dffp
.........\........\....\....\behave.dat
.........\........\....\....\behave.dbs
.........\........\....\....\_primary.dat
.........\........\....\....\_primary.dbs
.........\........\....\dlatch
.........\........\....\......\behavior.dat
.........\........\....\......\behavior.dbs
.........\........\....\......\_primary.dat
.........\........\....\......\_primary.dbs
.........\........\....\dummy_hub
.........\........\....\.........\behavior.dat
.........\........\....\.........\behavior.dbs
.........\........\....\.........\_primary.dat
.........\........\....\.........\_primary.dbs
.........\........\....\exp
.........\........\....\...\behavior.dat
.........\........\....\...\behavior.dbs
.........\........\....\...\_primary.dat
.........\........\....\...\_primary.dbs
.........\........\....\flexible_lvds_rx
.........\........\....\................\behavior.dat
.........\........\....\................\behavior.dbs
.........\........\....\................\_primary.dat
.........\........\....\................\_primary.dbs
.........\........\....\flexible_lvds_tx
.........\........\....\................\behavior.dat
.........\........\....\................\behavior.dbs
.........\........\....\................\_primary.dat
.........\........\....\................\_primary.dbs
.........\........\....\global
.........\........\....\......\behavior.dat
.........\........\....\......\behavior.dbs
.........\........\....\......\_primary.dat
.........\........\....\......\_primary.dbs
.........\........\....\jtag_tap_controller
.........\........\....\...................\fsm.dat
.........\........\....\...................\fsm.dbs
.........\........\....\...................\_primary.dat
.........\........\....\...................\_primary.dbs
.........\........\....\latch
.........\........\....\.....\behavior.dat
.........\........\....\.....\behavior.dbs
.........\........\....\.....\_primary.dat
.........\........\....\.....\_primary.dbs
.........\........\....\lcell
.........\........\....\.....\behavior.dat
.........\........\....\.....\behavior.dbs
.........\........\....\.....\_primary.dat
.........\........\....\.....\_primary.dbs
.........\........\....\lut_input
.........\........\....\.........\behavior.dat
.........\........\....\.........\behavior.dbs
.........\........\....\.........\_primary.dat
.........\........\....\.........\_primary.dbs
.........\........\....\lut_output
.........\........\....\..........\behavior.dat
.........\........\....\..........\behavior.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\mf_cda_mn_cntr
.........\........\....\..............\behave.dat
.........\........\....\..............\behave.dbs
.........\........\....\..............\_primary.dat
.........\........\....\..............\_primary.dbs
.........\........\....\mf_cda_scale_cntr
.........\........\....\.................\behave.dat
.........\........\....\.................\behave.dbs
.........\........\....\.................\_primary.dat
.........\........\....\.................\_primary.dbs
.........\........\....\mf_cycloneiii_pll
.........\........\....\.................\vital_pll.dat
.........\........\....\.................\vital_pll.dbs
.........\........\....\.................\_primary.dat
.........\........\....\.................\_primary.dbs
.........\........\....\mf_m_cntr
.........\........\....\.........\behave.dat
.........\........\....\.........\behave.dbs
.........\........\....\.........\_primary.dat
.........\........\....\.........\_primary.dbs
.........\........\....\mf_n_cntr
.........\........\....\.........\behave.dat
.........\........\....\.........\behave.dbs
.........\........\....\.........\_primary.dat
.........\........\....\.........\_primary.dbs
.........\........\....\mf_pllpack
.........\........\....\..........\body.dat
.........\........\....\..........\body.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\mf_pll_reg
.........\........\....\..........\behave.dat
.........\........\....\..........\behave.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\mf_stratixiii_pll
.........\........\....\.................\vital_pll.dat
.........\........\....\.................\vital_pll.dbs
.........\........\....\.................\_primary.dat
.........\........\....\.................\_primary.dbs
.........\........\....\mf_stratixii_pll
.........\........\....\................\vital_pll.dat
.........\........\....\................\vital_pll.dbs
.........\........\....\................\_primary.dat
.........\........\....\................\_primary.dbs
.........\........\....\mf_stratix_pll
.........\........\....\..............\vital_pll.dat
.........\........\....\..............\vital_pll.dbs
.........\........\....\..............\_primary.dat
.........\........\....\..............\_primary.dbs
.........\........\....\mf_ttn_mn_cntr
.........\........\....\..............\behave.dat
.........\........\....\..............\behave.dbs
.........\........\....\..............\_primary.dat
.........\........\....\..............\_primary.dbs
.........\........\....\mf_ttn_scale_cntr
.........\........\....\.................\behave.dat
.........\........\....\.................\behave.dbs
.........\........\....\.................\_primary.dat
.........\........\....\.................\_primary.dbs
.........\........\....\opndrn
.........\........\....\......\behavior.dat
.........\........\....\......\behavior.dbs
.........\........\....\......\_primary.dat
.........\........\....\......\_primary.dbs
.........\........\....\parallel_add
.........\........\....\............\behaviour.dat
.........\........\....\............\behaviour.dbs
.........\........\....\............\_primary.dat
.........\........\....\............\_primary.dbs
.........\........\....\pll_iobuf
.........\........\....\.........\behavior.dat
.........\........\....\.........\behavior.dbs
.........\........\....\.........\_primary.dat
.........\........\....\.........\_primary.dbs
.........\........\....\prim_gdff
.........\........\....\.........\behavior.dat
.........\........\....\.........\behavior.dbs
.........\........\....\.........\_primary.dat
.........\........\....\.........\_primary.dbs
.........\........\....\row_global
.........\........\....\..........\behavior.dat
.........\........\....\..........\behavior.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\scfifo
.........\........\....\......\behavior.dat
.........\........\....\......\behavior.dbs
.........\........\....\......\_primary.dat
.........\........\....\......\_primary.dbs
.........\........\....\signal_gen
.........\........\....\..........\simmodel.dat
.........\........\....\..........\simmodel.dbs
.........\........\....\..........\_primary.dat
.........\........\....\..........\_primary.dbs
.........\........\....\sld_node
.........\........\....\........\body.dat
.........\........\....\........\body.dbs
.........\........\....\........\_primary.dat
.........\........\....\........\_primary.dbs
.........\........\....\sld_signaltap
.........\........\....\.............\sim_sld_signaltap.dat
.........\........\....\.............\sim_sld_signaltap.dbs
.........\........\....\.............\_primary.dat
.........\........\....\.............\_primary.dbs
.........\........\....\sld_virtual_jtag
.........\........\....\................\structural.dat
.........\........\....\................\structural.dbs
.........\........\....\................\_primary.dat
.........\........\....\................\_primary.dbs
.........\........\....\soft
.........\........\....\....\behavior.dat
.........\........\....\....\behavior.dbs
.........\........\....\....\_primary.dat
.........\........\....\....\_primary.dbs
.........\........\....\stratixiii_lvds_rx
.........\........\....\..................\behavior.dat
.........\........\....\..................\behavior.dbs
.........\........\....\..................\_primary.dat
.........\........\....\..................\_primary.dbs
.........\........\....\stratixiii_lvds_rx_channel
.........\........\....\..........................\behavior.dat
.........\........\....\..........................\behavior.dbs
.........\........\....\..........................\_primary.dat
.........\........\....\..........................\_primary.dbs
.........\........\....\stratixiii_lvds_rx_dpa
.........\........\....\......................\behavior.dat
.........\........\....\......................\behavior.dbs
.........\........\....\......................\_primary.dat
.........\........\....\......................\_primary.dbs
.........\........\....\stratixii_lvds_rx
.........\........\....\.................\behavior.dat
.........\........\....\.................\behavior.dbs
.........\........\....\.................\_primary.dat
.........\........\....\.................\_primary.dbs
.........\........\....\stratixii_tx_outclk
.........\........\....\...................\behavior.dat
.........\........\....\...................\behavior.dbs
.........\........\....\...................\_primary.dat
.........\........\....\...................\_primary.dbs
.........\........\....\stratix_tx_outclk
.........\........\....\.................\behavior.dat
.........\........\....\.................\behavior.dbs
.........\........\....\.................\_primary.dat
.........\........\....\.................\_primary.dbs
.........\........\....\stx_scale_cntr
.........\........\....\..............\behave.dat
.........\........\....\..............\behave.dbs
.........\........\....\..............\_primary.dat
.........\........\....\..............\_primary.dbs
.........\........\....\tri
.........\........\....\...\behavior.dat
.........\........\....\...\behavior.dbs
.........\........\....\...\_primary.dat
.........\........\....\...\_primary.dbs
.........\........\....\_temp
.........\........\....\_info
.........\........\modelsim.ini
.........\pll
.........\pll.cmp
.........\pll.ppf
.........\pll.qip
.........\pll.vhd
.........\pll_syn.v
.........\pll_wave0.jpg

.........\pll_waveforms.html